1) Especificación
multiplicador de 2 señales por donde cada una le entran 3 bits.
2)Modelo Matemático
Architectura
LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;
entity circuito1 is
Port ( A : in STD_LOGIC_VECTOR (2 downto 0);
B : in STD_LOGIC_VECTOR (2 downto 0);
M : out STD_LOGIC_VECTOR (5 downto 0);
end circuito1;
architecture Behavioral of circuito1 is
begin
process (A , B)
begin
M <= A * B;
end process;
end Behavioral;
No hay comentarios:
Publicar un comentario